Tang Primer 25K学习记录

news/2024/10/19 6:46:20

Tang Primer 25K

Tang Primer 25K 是基于 GW5A-LV25MG121 所设计的一款极小封装的核心板(23x18mm),并配套全引脚引出(除MIPI高速脚外)的25K Dock底板。(国产高云FPGA),作为学习使用,非常小巧,大家可以购买(底板的3d保护壳可以使用博主的,建议打印一个,因为fpga开发板这种海上比较脆弱,如果碰到静电什么),博主是去年购买了吃灰了好久,现在有空拿出来玩玩。

底板和螺丝百度网盘链接:
链接:https://pan.baidu.com/s/1encetriBSqsJffrh5WDdyw
提取码:23o3

具体资料可以到
链接:https://wiki.sipeed.com/hardware/zh/tang/tang-primer-25k

picture 0

图1 Tang Primer 25K实物图

picture 1

图2 Tang Primer 25K博主加装底部外壳实物图

安装Gaowin IDE

注意25K需要使用 V1.9.9Beta-4 或更新的IDE版本(教育版本就ok)
下载链接:http://www.gowinsemi.com.cn/faq.aspx

picture 2

图3 Gaowin IDE图

板子上面芯片为GW5A-LVMG121NES,但是教育版本上是GW5A-LV25MG121NC1/I0,我问过客服,一样使用就ok。

可以设置外部编辑器(vscode或者notepad++)

picture 4

图4 设置图1

picture 3

图5 设置图2

FPGA学习记录

看原理图

picture 6

图6 原理图1

picture 5

图7 原理图2

点灯

verilog code

module LED_FLOW(input clk,input reset_n,output reg [7:0]led
);reg [25:0] counter;initial
beginled=8'hff;counter=26'd0;
end// 定义参数
parameter CLK_FREQ = 50_000_000; // 时钟频率50MHz
parameter BLINK_PERIOD = 1;      // 闪烁周期1秒
localparam COUNTER_MAX = CLK_FREQ * BLINK_PERIOD - 1; // 计数器最大值always @(posedge clk or negedge reset_n) 
beginif (!reset_n)counter <= 26'd0;else if (counter < COUNTER_MAX)       // 1scounter <= counter + 1'b1;elsecounter <= 26'd0;
endalways @(posedge clk or negedge reset_n) 
beginif (!reset_n)led <= 8'hff;else if (counter == COUNTER_MAX)       // 1sled<=~led;elseled<=led;
endendmodule

跑马灯

verilog code

module LED_RUN(input clk,input reset_n,output reg [7:0]led
);reg [25:0] counter;initial
beginled=8'hfe;counter=26'd0;
end// 定义参数
parameter CLK_FREQ = 50_000_000; // 时钟频率50MHz
parameter BLINK_PERIOD = 1;      // 闪烁周期1秒
localparam COUNTER_MAX = CLK_FREQ * BLINK_PERIOD - 1; // 计数器最大值always @(posedge clk or negedge reset_n) 
beginif (!reset_n)counter <= 26'd0;else if (counter < COUNTER_MAX)       // 1scounter <= counter + 1'b1;elsecounter <= 26'd0;
endalways @(posedge clk or negedge reset_n) 
beginif (!reset_n)led <= 8'hff;else if (counter == COUNTER_MAX)       // 1sled<={led[6:0],~led[7]};elseled<=led;
endendmodule

流水灯

verilog code

module LED_FLOW(input clk,input reset_n,output reg [7:0]led
);reg [25:0] counter;initial
beginled=8'hfe;counter=26'd0;
end// 定义参数
parameter CLK_FREQ = 50_000_000; // 时钟频率50MHz
parameter BLINK_PERIOD = 1;      // 闪烁周期1秒
localparam COUNTER_MAX = CLK_FREQ * BLINK_PERIOD - 1; // 计数器最大值always @(posedge clk or negedge reset_n) 
beginif (!reset_n)counter <= 26'd0;else if (counter < COUNTER_MAX)       // 1scounter <= counter + 1'b1;elsecounter <= 26'd0;
endalways @(posedge clk or negedge reset_n) 
beginif (!reset_n)led <= 8'hfe;else if (counter == COUNTER_MAX)       // 1sled<={led[6:0],led[7]};elseled<=led;
endendmodule

重要注意事项

picture 7

图8 引脚绑定

多少引脚填写H多少就可以,那个原理图里面的。

picture 8

图9 程序烧写

picture 9

图10 程序烧写

烧写建议烧到sram,节省flash寿命

视频演示

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.ryyt.cn/news/72709.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈,一经查实,立即删除!

相关文章

VUE传递字符串显示二维码

1.安装插件npm install vue-qrcode 2.使用<script setup lang="ts"> import { ref } from vue import VueQrcode from vue-qrcode; const qrCodeString = ref(abc); </script><vue-qrcode :value="qrCodeString" :size="150">…

环境安装

模拟器root环境 安装Magisk:安装链接用于定制Android开源软件,支持高于Android 5.0设备 MagiskSU:为应用程序提供root访问权限 Magisk模块:通过安装模块修改只读分区 MagiskHide:从根检测/系统完整性检查中隐藏Magisk(Shamiko) MagiskBoot:最完整的安卓启动镜像解包和重新…

新建next.js项目,customize the default import alias是否要自定义默认导入别名(@/*)的选项区别

使用命令 npx create-next-app@latest 新建项目时,会自定义一些选项,如下图:其中自定义导入别名的选项,选择Yes 或 No 有何区别? Would you like to customize the default import alias (@/*)? ... No / Yes 一、选择 "Yes" jsconfig.js 文件的内容是: {&quo…

受穿山甲启发的机器人将树种种进它挖的洞里

原文链接:Pangolin-inspired robot poops tree seeds into holes it digs (newatlas.com) The hole-digging, seed-bomb-depositing, Plantolin pangolin-inspired robot University of Surrey 挖洞、投放种子炸弹、以穿山甲为灵感的Plantolin机器人 萨里大学Foraging pangol…

VMWare 安装与拖动文件到 Win7 虚拟机

本文记录 VMware Workstation Pro 17.6 的安装过程,创建一个 纯净的 Windows 7 系统的虚拟机,并解决无法往 Windows 7 虚拟机拖动文件的过程。导读 为了有一个纯净的低版本 Windows 环境用于测试软件的运行环境,决定使用虚拟机安装一个纯净版本的 Windows 7。 本文记录 VMwa…

一图为你揭秘云数据库GaussDB管理平台亮点

无论是安装GaussDB,还是后续的运维,云数据库管理平台都是众多DBA及数据库运维人员提升效率的好帮手。云数据库GaussDB管理平台(TPOPS)是一款即开即用、稳定可靠、管理便捷的数据库运维管理平台。通过该平台,用户可以快速部署安装GauSSDB,实现智能化运维,大幅度提升运维和…

基于PID控制器的四旋翼无人机控制系统的simulink建模与仿真,并输出虚拟现实动画

1.课题概述基于PID控制器的四旋翼无人机控制系统的simulink建模与仿真,并输出vr虚拟现实动画,输出PID控制器的控制反馈曲线。整个仿真过程,无人机为升空,下降,再升空的飞行效果。2.系统仿真结果 3.核心程序与模型 版本:MATLAB2022a 4.系统原理简介 4.1四旋翼无人机的动力学…

ppt插入饼状图

目录基本步骤 基本步骤ppt找到插入,点击,选择插入图表,插入饼状图编辑数据,excel格式刚创建时可以编辑,或者之后可以通过编辑数据来编辑(右键可找到)选择数据范围改变格式,从上图的快速布局来找,以及格式的美化,见上图的一些格式